国产亚洲精品福利在线无卡一,国产精久久一区二区三区,亚洲精品无码国模,精品久久久久久无码专区不卡

當(dāng)前位置: 首頁 > news >正文

網(wǎng)站后端開發(fā)流程刷百度關(guān)鍵詞排名

網(wǎng)站后端開發(fā)流程,刷百度關(guān)鍵詞排名,wordpress便宜的付費(fèi)主題,圖片設(shè)計(jì) 五星級酒店網(wǎng)站??途W(wǎng)Verilog刷題——VL46 題目解析答案 題目 根據(jù)題目提供的雙口RAM代碼和接口描述,實(shí)現(xiàn)同步FIFO,要求FIFO位寬和深度參數(shù)化可配置。電路的接口如下圖所示。 ??雙口RAM端口說明: 同步FIFO端口說明: 雙口RAM代碼如下&#xff…

??途W(wǎng)Verilog刷題——VL46

  • 題目
  • 解析
  • 答案

題目

??根據(jù)題目提供的雙口RAM代碼和接口描述,實(shí)現(xiàn)同步FIFO,要求FIFO位寬和深度參數(shù)化可配置。電路的接口如下圖所示。
在這里插入圖片描述
??雙口RAM端口說明:

在這里插入圖片描述
??同步FIFO端口說明:

在這里插入圖片描述
??雙口RAM代碼如下,可在本題答案中添加并例化此代碼。

module dual_port_RAM #(parameter DEPTH = 16,parameter WIDTH = 8)(input wclk
,input wenc
,input [$clog2(DEPTH)-1:0] waddr  //深度對2取對數(shù),得到地址的位寬。
,input [WIDTH-1:0] wdata      //數(shù)據(jù)寫入
,input rclk
,input renc
,input [$clog2(DEPTH)-1:0] raddr  //深度對2取對數(shù),得到地址的位寬。
,output reg [WIDTH-1:0] rdata //數(shù)據(jù)輸出
);reg [WIDTH-1:0] RAM_MEM [0:DEPTH-1];always @(posedge wclk) begin
if(wenc)
RAM_MEM[waddr] <= wdata;
end always @(posedge rclk) begin
if(renc)
rdata <= RAM_MEM[raddr];
end endmodule 

??輸入輸出描述:

信號(hào)類型輸入/輸出位寬描述
clkwireIntput1讀寫時(shí)鐘信號(hào)
rstnwireIntput1讀寫異步復(fù)位信號(hào),低電平有效
wincwireIntput1寫使能信號(hào)
rincwireIntput1讀使能信號(hào)
wdatawireIntputWIDTH寫數(shù)據(jù)
wfullwireOutput1寫滿信號(hào)
remptywireOutput1讀空信號(hào)
rdatawireOutputWIDTH讀數(shù)據(jù)

解析

??同步FIFO中,讀操作與寫操作均在同一時(shí)鐘域下進(jìn)行,不涉及跨時(shí)鐘域操作,所以只需要用一個(gè)計(jì)數(shù)器來計(jì)數(shù)當(dāng)前FIFO中存儲(chǔ)的實(shí)際數(shù)據(jù)個(gè)數(shù)(寫入數(shù)據(jù)個(gè)數(shù)減去讀出數(shù)據(jù)個(gè)數(shù)),再用于判斷產(chǎn)生空滿信號(hào)即可。所以同步FIFO設(shè)計(jì)的要點(diǎn)就在于以下幾點(diǎn):

  • 空滿信號(hào)判斷

答案

`timescale 1ns/1ns
/**********************************RAM************************************/
module dual_port_RAM #(parameter DEPTH = 16,parameter WIDTH = 8)(input wclk,input wenc,input [$clog2(DEPTH)-1:0] waddr  //深度對2取對數(shù),得到地址的位寬。,input [WIDTH-1:0] wdata      	//數(shù)據(jù)寫入,input rclk,input renc,input [$clog2(DEPTH)-1:0] raddr  //深度對2取對數(shù),得到地址的位寬。,output reg [WIDTH-1:0] rdata 		//數(shù)據(jù)輸出
);reg [WIDTH-1:0] RAM_MEM [0:DEPTH-1];always @(posedge wclk) beginif(wenc)RAM_MEM[waddr] <= wdata;
end always @(posedge rclk) beginif(renc)rdata <= RAM_MEM[raddr];
end endmodule  /**********************************SFIFO************************************/
module sfifo#(parameter	WIDTH = 8,parameter 	DEPTH = 16
)(input 					clk		, input 					rst_n	,input 					winc	,input 			 		rinc	,input 		[WIDTH-1:0]	wdata	,output reg				wfull	,output reg				rempty	,output wire [WIDTH-1:0]	rdata
);//---------------------------------
// 使用內(nèi)部計(jì)數(shù)器設(shè)計(jì)同步FIFO
//---------------------------------
reg [$clog2(DEPTH)-1:0] waddr;
reg [$clog2(DEPTH)-1:0] raddr;
reg	[$clog2(DEPTH):0] cnt;//內(nèi)部計(jì)數(shù)器
always @(posedge clk or negedge rst_n)if(!rst_n)cnt <= 'd0;else if(!wfull && !rempty && winc && rinc)cnt <= cnt;else if(!wfull && winc)cnt <= cnt + 1;else if(!rempty && rinc)cnt <= cnt - 1;elsecnt <= cnt;//空滿判斷
always @(posedge clk or negedge rst_n)if(!rst_n) beginwfull <= 1'b0;rempty <= 1'b0;endelse if(cnt == DEPTH) beginwfull <= 1'b1;rempty <= 1'b0;endelse if(cnt == 0) beginwfull <= 1'b0;rempty <= 1'b1;endelse beginwfull <= 1'b0;rempty <= 1'b0;end//寫地址
always @(posedge clk or negedge rst_n)if(!rst_n)waddr <= 'd0;else if(!wfull && winc)waddr <= waddr + 1'd1;elsewaddr <= waddr;//讀地址
always @(posedge clk or negedge rst_n)if(!rst_n)raddr <= 'd0;else if(!rempty && rinc)raddr <= raddr + 1'd1;elseraddr <= raddr;//雙端口RAM例化
dual_port_RAM  
#( .DEPTH(DEPTH),.WIDTH(WIDTH)
)
dual_port_RAM_inst
(.wclk(clk),.wenc(winc && !wfull),.waddr(waddr),  //深度對2取對數(shù),得到地址的位寬。.wdata(wdata),      	//數(shù)據(jù)寫入.rclk(clk),.renc(rinc && !rempty),.raddr(raddr),  //深度對2取對數(shù),得到地址的位寬。.rdata(rdata) 		//數(shù)據(jù)輸出
);endmodule
http://www.aloenet.com.cn/news/28392.html

相關(guān)文章:

  • 廣西響應(yīng)式網(wǎng)站制作指定關(guān)鍵詞seo報(bào)價(jià)
  • 網(wǎng)絡(luò)建站網(wǎng)網(wǎng)絡(luò)推廣ttkefu在線客服系統(tǒng)官網(wǎng)
  • 品牌網(wǎng)站建設(shè)風(fēng)格怎么確定免費(fèi)的外貿(mào)b2b網(wǎng)站
  • 網(wǎng)站建設(shè)方案報(bào)價(jià)營銷方式和渠道有哪些
  • 網(wǎng)頁設(shè)計(jì)小白做網(wǎng)站廊坊seo整站優(yōu)化
  • 做網(wǎng)站要怎么找單怎么注冊網(wǎng)站免費(fèi)的
  • 整站seo排名公司天津seo渠道代理
  • 網(wǎng)絡(luò)營銷案例分析心得seo網(wǎng)絡(luò)營銷技術(shù)
  • 拼多多網(wǎng)頁qq登錄南昌seo公司
  • 亞馬遜seo關(guān)鍵詞優(yōu)化軟件seo技術(shù)優(yōu)化
  • 不用囤貨北京正規(guī)seo搜索引擎優(yōu)化價(jià)格
  • seo網(wǎng)站推廣工具aso優(yōu)化教程
  • 網(wǎng)站建設(shè)用啥技術(shù)網(wǎng)絡(luò)營銷師報(bào)考條件
  • wordpress站群系統(tǒng)南京網(wǎng)站建設(shè)
  • 重慶品牌網(wǎng)站建設(shè)公司哪家好湖南網(wǎng)絡(luò)推廣服務(wù)
  • 網(wǎng)站怎么在百度做推廣seo排名關(guān)鍵詞點(diǎn)擊
  • 網(wǎng)站開發(fā)需要什么技術(shù)人員成都新站軟件快速排名
  • 如何制作一個(gè)優(yōu)秀網(wǎng)站建設(shè)如何進(jìn)行網(wǎng)絡(luò)推廣和宣傳
  • 有一個(gè)專門做lol同人的網(wǎng)站百度客戶端手機(jī)版
  • 有沒有做家具特賣的網(wǎng)站疫情最新動(dòng)態(tài)
  • 貴陽哪里做網(wǎng)站營銷企業(yè)
  • 加工平臺(tái)快抖霸屏樂云seo
  • 難道做網(wǎng)站的工資都不高嗎百度銷售推廣
  • 南寧網(wǎng)站建設(shè)代理婚戀網(wǎng)站排名前十名
  • 東坑鎮(zhèn)仿做網(wǎng)站baidu百度
  • 網(wǎng)站做404好處直播:英格蘭vs法國
  • 商丘市網(wǎng)站建設(shè)公司合肥網(wǎng)站優(yōu)化軟件
  • 求職簡歷模板電子版免費(fèi)seo怎么做優(yōu)化計(jì)劃
  • 黃埔區(qū)做網(wǎng)站英文外鏈seo兼職
  • 中學(xué)生制作網(wǎng)站怎么做百度廣告買下的訂單在哪里找